telkomsel halo

Intel Innovation 2023, berdayakan developer sebarluaskan AI

05:39:00 | 21 Sep 2023
Intel Innovation 2023, berdayakan developer sebarluaskan AI
Intel Xeon (dok)
JAKARTA (IndoTelko) - Pada acara Intel Innovation tahunan ketiganya, Intel meluncurkan serangkaian teknologi untuk menyebarluaskan kecerdasan buatan dan membuatnya lebih mudah diakses di semua beban kerja, mulai dari klien dan edge hingga jaringan dan cloud.

CEO Intel Pat Gelsinger mengatakan, AI mewakili pergeseran generasi, sehingga memunculkan era baru ekspansi global di mana komputasi menjadi lebih penting untuk masa depan yang lebih baik bagi semua orang.

"Bagi para developer, hal ini menciptakan peluang sosial dan bisnis yang sangat besar untuk mendorong batasan dari apa yang mungkin dilakukan, untuk menciptakan solusi terhadap tantangan terbesar dunia dan untuk meningkatkan kehidupan setiap orang di planet ini," ujarnya.

Dalam presentasi keynote-nya untuk membuka acara yang menyasar para developer itu, Gelsinger menunjukkan bagaimana Intel menghadirkan kemampuan AI di seluruh produk hardware-nya dan membuatnya dapat diakses melalui solusi software multi-arsitektur yang terbuka.

Gelsinger juga menyoroti bagaimana AI membantu mendorong "Siliconomy," sebuah "pertumbuhan ekonomi yang dimungkinkan oleh keajaiban silikon dan software." Saat ini, silikon menyumbang industri senilai US$574 miliar yang pada gilirannya menggerakkan ekonomi teknologi global yang bernilai hampir US$8 triliun.

Pekerjaan ini dimulai dengan inovasi silikon. Program pengembangan proses five-nodes-in-four-years dari Intel berjalan dengan baik, kata Gelsinger, di mana Intel 7 sudah berada dalam tahap manufaktur bervolume tinggi, Intel 4 siap diproduksi, dan Intel 3 sudah on-track untuk akhir tahun ini.

Ia menunjukkan wafer Intel 20A dengan test chip pertama untuk prosesor Intel Arrow Lake, yang ditujukan untuk pasar komputasi klien pada tahun 2024. Intel 20A akan menjadi process node pertama yang menyertakan PowerVia, teknologi pengiriman daya backside dari Intel, dan desain transistor gate-all-around baru yang disebut RibbonFET. Intel 18A, yang juga memanfaatkan PowerVia dan RibbonFET, tetap berada pada jalur siap produksi pada paruh kedua tahun 2024.

Selain itu, cara lain Intel untuk mendorong kemajuan Moore’s Law adalah dengan material baru dan teknologi pengemasan baru, seperti substrat kaca sebuah terobosan yang diumumkan Intel pada minggu ini. Ketika diperkenalkan pada akhir dekade ini, substrat kaca akan memungkinkan pengembangan transistor yang berkelanjutan pada suatu paket untuk membantu memenuhi kebutuhan beban kerja yang data-intensive dan berkinerja tinggi seperti AI dan akan menjaga Moore’s Law melampaui tahun 2030.

Intel juga menampilkan paket test chip yang dibuat dengan Universal Chiplet Interconnect Express (UCIe). Gelombang berikutnya dari Moore’s Law akan hadir dengan paket multi-chiplet yang akan hadir lebih cepat jika standar terbuka dapat mengurangi hambatan dalam pengintegrasian kekayaan intelektual. Dibentuk tahun lalu, standar UCIe akan memungkinkan chiplet dari vendor berbeda untuk bekerja sama, sehingga memungkinkan desain baru untuk perluasan beban kerja AI yang beragam. Spesifikasi terbuka ini didukung oleh lebih dari 120 perusahaan.

Test chip ini menggabungkan chiplet Intel UCIe IP yang dibuat pada Intel 3 dan sebuah chiplet IP Synopsys UCIe yang dibuat pada process node TSMC N3E. Chiplet-chiplet tersebut dihubungkan menggunakan teknologi pengemasan canggih embedded multi-die interconnect bridge (EMIB). Demonstrasi ini menyoroti komitmen TSMC, Synopsys, dan Intel Foundry Services untuk mendukung ekosistem chiplet berbasis standar terbuka dengan UCIe.

Gelsinger pun menyoroti beragam teknologi AI yang tersedia bagi developer di seluruh platform Intel saat ini dan bagaimana berbagai teknologi tersebut akan meningkat secara dramatis pada tahun mendatang.

Hasil kinerja inferensi AI MLPerf baru-baru ini semakin memperkuat komitmen Intel untuk menangani setiap fase rangkaian AI, termasuk AI generatif dan large language model yang terbesar dan paling menantang. Hasilnya juga menyoroti akselerator Intel Gaudi2 sebagai satu-satunya alternatif yang layak yang ada di pasar untuk kebutuhan komputasi AI.

Ia mengumumkan sebuah superkomputer AI terbesar akan dibangun sepenuhnya dengan prosesor Intel Xeon dan 4.000 akselerator hardware AI Intel Gaudi2, dengan Stability AI sebagai pelanggan utama.

Sementara, Chief Technology Officer Alibaba Cloud,

Zhou Jingren menjelaskan, bagaimana Alibaba menerapkan prosesor 4th Gen Intel® Xeon® dengan akselerasi AI bawaan pada "AI generatif dan large language model kami, Tongyi Foundation Models dari Alibaba Cloud. Teknologi Intel, katanya, menghasilkan "peningkatan waktu respons yang luar biasa, dengan rata-rata akselerasi 3x.

Intel juga melakukan pratinjau terhadap prosesor Intel Xeon generasi berikutnya, mengungkapkan bahwa prosesor 5th Gen Intel® Xeon® akan menghadirkan kombinasi peningkatan kinerja dan memori yang lebih cepat, sekaligus menggunakan jumlah daya yang sama, ke pusat data dunia saat diluncurkan pada 14 Desember. Sierra Forest, dengan efisiensi E-core dan hadir pada paruh pertama tahun 2024, akan memberikan kepadatan rak 2,5x lebih baik dan kinerja per watt 2,4x lebih tinggi dibandingkan 4th Gen Xeon dan akan menyertakan versi dengan 288 core2. Dan Granite Rapids, dengan performa P-core, akan menyusul peluncuran Sierra Forest, menawarkan performa AI 2x hingga 3x lebih baik dibandingkan dengan 4th Gen Xeon.2

AI juga akan menjadi lebih personal. "AI akan secara mendasar mentransformasi, membentuk kembali, dan merestrukturisasi pengalaman PC meningkatkan produktivitas dan kreativitas personal melalui kekuatan cloud dan PC yang bekerja sama. Kami mengantarkan era baru AI PC," jelas Gelsinger.

Pengalaman PC baru ini hadir dengan prosesor Intel Core Ultra mendatang, dengan nama kode Meteor Lake, yang menampilkan neural processing unit terintegrasi pertama dari Intel, atau NPU, untuk akselerasi AI yang hemat daya dan inferensi lokal pada PC. Gelsinger mengonfirmasi Core Ultra juga akan diluncurkan pada 14 Desember.

Core Ultra mewakili titik perubahan dalam peta jalan prosesor klien Intel: Ini adalah desain chiplet klien pertama yang dimungkinkan oleh teknologi pengemasan Foveros. Selain NPU dan kemajuan besar dalam performa hemat daya berkat teknologi proses Intel 4, prosesor baru ini menghadirkan performa grafis tingkat diskrit dengan grafis Intel® Arc™ onboard.

Gelsinger menunjukkan serangkaian kasus penggunaan AI PC terbaru, dan Jerry Kao, chief operating officer Acer, memberikan gambaran sekilas tentang laptop Acer mendatang yang didukung oleh Core Ultra. "Kami bersama tim Intel telah mengembangkan serangkaian aplikasi Acer AI untuk memanfaatkan platform Intel Core Ultra," kata Kao, "berkembang dengan toolkit OpenVINO dan library AI yang dikembangkan bersama untuk menghidupkan hardware," ujarnya.

Ia menambahkan, AI di masa depan harus memberikan lebih banyak akses, skalabilitas, visibilitas, transparansi, dan kepercayaan kepada seluruh ekosistem. (mas)

Artikel Terkait
Rekomendasi
Berita Pilihan
IndoTelko Idul Fitri 2024
More Stories
Data Center Service Provider of the year